From Friday, April 19th (11:00 PM CDT) through Saturday, April 20th (2:00 PM CDT), 2024, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

How fast and accuracy of the FPGA's clock reference

Solved!
Go to solution

I may need to make a application as below, but the requested accuracy is around 10ns. Can FPGA help on it? I am new newphie on FPGA.

 

- Triggered by an external pulse and start a accurate number counter for a high frequency clock signal(Maybe 100MHz)

 

- Triggered by another external channel to stop the counter and lock the result.

 

- From the lock result, calculate the time duration from the 1st to 2nd trigger.

 

Thanks,

0 Kudos
Message 1 of 4
(3,273 Views)
Solution
Accepted by topic author alex.

Hey,

 

Our FPGA clocks accuracy is typically 250ps, however due to your post it seems that you are talking about generating a signal with the specified accuracy.

I would suggest you to contact your local NI office with a detailed description of your application to figure out which hardware suites best for it.

 

 

Christian

Message 2 of 4
(3,263 Views)
Is there any other hardware can do this, such as single chip CPU. Thanks.
0 Kudos
Message 3 of 4
(3,253 Views)

Hey Christian,

 

I wonder about the accuracy you have stated for the FPGA Clock. In reference to what time the accuracy can be given as 250 ps? When dealing with the accuracy of my counters, I always assumed that the accuracy is the same as the real time clock (200ppm / 35ppm). If the accuracy is 250ps per cycle, it would be equal to an error of 1 %... or 250 ppb in one second. Both does not occur realistic to me.

 

can you please speciify that information?

 

Thank you, Christian

0 Kudos
Message 4 of 4
(2,828 Views)