LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

FPGA SGL To Integer Cast

Hi all,

 

Is it possible to cast a SGL to an U32 in the same way a FXP can be cast to and from integers in LabVIEW FPGA 2012?

 

Thanks!

-Derek Roane
0 Kudos
Message 1 of 3
(2,751 Views)

attached is a vi that will convert a fxp to sgl represented as u32

 

you could convert the sgl to fxp first then use this vi to achieve sgl represented as u322

 

- James

Using LV 2012 on Windows 7 64 bit
Message 2 of 3
(2,748 Views)

Thanks for the reply.  That may work for my situation, but one problem is the FXP64.24 datatype can't handle big numbers. 

I just want to be able to manipulate the sign bit of the SGL number inside a SCTL.  I'm using Xilinx CoreGEN IP nodes to process some channels in a SCTL, and I was hoping the support for SGL in FPGA 2012 would let me drop using a U32 as the input/output datatype for those nodes.

 

I should probably make a suggestion on the FPGA Idea Exchange.

-Derek Roane
0 Kudos
Message 3 of 3
(2,738 Views)