From Friday, April 19th (11:00 PM CDT) through Saturday, April 20th (2:00 PM CDT), 2024, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

CompactRIO Digital lines max speed


@UMASO wrote:

By the way, in your configuration with 9402, DI is working at 40MHz, while its specification is 16MHz with 4-channel, and 20MHz with 2-channel, as described in 9402 specification below.  

 

How many channels are you using with the current configuration?  4 channels?  


2 channels.  Maybe I'm just getting lucky.  It is working on 3 setups right now.


GCentral
There are only two ways to tell somebody thanks: Kudos and Marked Solutions
Unofficial Forum Rules and Guidelines
"Not that we are sufficient in ourselves to claim anything as coming from us, but our sufficiency is from God" - 2 Corinthians 3:5
Message 11 of 14
(433 Views)

@UMASO wrote:

Thanks for correcting my post above.  Crrect statement should be "cRIO DIO can be placed inside SCTL with 40MHz onboard clock, and cannot be placed any other clocks such as derived clocks."  


I believe all DIO in a cRIO can be placed inside of a SCTL as long as the rate is a multiple of 40MHz. If you try to compile a VI with a 9402 in a different time domain it will produce an error during intermediate file generation that will tell you this.

 

As for crossrulz running at a higher rate than what the specifications claim, I know that for DIO in sbRIOs you can put the DIO in a SCTL running at any rate but that doesn't mean the output will look very clean so it might be something like that. It might not actually be meeting all of the written specifications when used at a higher rate but that might still be good enough for whatever crossrulz is connected to.

Matt J | National Instruments | CLA
Message 12 of 14
(430 Views)

Thanks for your answer, I think I will try to go with using the external clock at first, so I am thinking to use the CLIP and add the 10 MHz CLK in my system as an external clock in the FPGA and to use it as the clock in the SCTL, I can't find a clear tutorial to add external clock to the FPGA and use it in the LabVIEW FPGA code, or should I write my code as a VHDL and include this code in the CLIP. if you can support me with a clear tutorial or so that would be great.

 

0 Kudos
Message 13 of 14
(410 Views)

Author started a new thread below.  Let me paste the URL below for a reference.  

 

https://forums.ni.com/t5/LabVIEW/SbRIO-9651-external-clock/m-p/4286737

Message 14 of 14
(377 Views)