From Friday, April 19th (11:00 PM CDT) through Saturday, April 20th (2:00 PM CDT), 2024, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

6584 Output CLK

I am trying to get the CLK BNC to output the clock. I see that it is an I/o port, so it should be able to output. 

 

From looking at the examples, it looks as easy as putting the Io Module_Clock_Out_Enable property in a timed structure of the desired frequency, but when I try that, nothing happens.

 

Any insight would be appreciated.

 

Thank you.

0 Kudos
Message 1 of 1
(1,759 Views)