From Friday, April 19th (11:00 PM CDT) through Saturday, April 20th (2:00 PM CDT), 2024, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

Real-Time Measurement and Control

cancel
Showing results for 
Search instead for 
Did you mean: 

Photon Count on LabVIEW FPGA

Hello, 

I am new to LabVIEW and FPGAs, and I have a assignment where I need to do photon counter on LabVEIW to collect counts from APDs. In my lab, I have a NI USB-7856R Module. I would appreciate any initial guidance or ideas since I am very new. I visited/read several LabVIEW forums about FPGAs, but I didn't learn much of them. 

 

Thanks, 

0 Kudos
Message 1 of 13
(4,544 Views)

I'm not sure you actually need an FPGA. It sounds like a regular multifunction DAQ device would work and would probably be a little easier to develop. Still, if that's all you have, maybe start here? http://zone.ni.com/reference/en-XX/help/371599N-01/lvfpgahelp/fpga_getting_started/

 

Do you have your signal conditioning well defined? If your signals are coming in really fast you might be able to connect it to a digital input and just detect transitions from false to true or vice versa. Otherwise, if it's slow enough, you can probably just set a threshold voltage and count how many times the voltage goes over or below that threshold.

0 Kudos
Message 2 of 13
(4,518 Views)

Thank you for your reply! 

 

I know the signal is a TTL pulse where I am required to pick it up on my Module (NI USB-7856R). I have attached a vi that is clearly wrong and missing things, but I gave it a shot. 

 

I am still new to this, but I know that I will have to use this LabVIEW FPGA Module that will be connected to APDs to pick up signals (1 for signal, 0 for nothing).

0 Kudos
Message 3 of 13
(4,502 Views)

Actually you look like you aren't too far off. You need to maintain a count on the FPGA which should look like this code:

FPGA Event Counter.png

 

All your other code can run on the general purpose computer. For example:

GP Counter.png

0 Kudos
Message 4 of 13
(4,496 Views)

Better would be to have the FPGA reset the loop counter on the rising edge as well.  You should also only update the indicator on the rising edge.  So a case structure should be used 


GCentral
There are only two ways to tell somebody thanks: Kudos and Marked Solutions
Unofficial Forum Rules and Guidelines
"Not that we are sufficient in ourselves to claim anything as coming from us, but our sufficiency is from God" - 2 Corinthians 3:5
0 Kudos
Message 5 of 13
(4,490 Views)

Thank you so much for your reply. Could you explain the second vi for me? What is the counters box and all the other components? 

 

Best,

0 Kudos
Message 6 of 13
(4,483 Views)

The counters box is a read write control node. Its job is to transfer the value that's on the FPGA to the general purpose computer. Events is the number of times the FPGA went from false to true. Stamp is the number of fpga loops that have occurred. We're subtracting so that we compare how many events and how many clock cycles have occurred since 100 ms ago. Then we divide events by seconds to get events per second.. You can use the context help to hover over any other functions you're not clear on.

0 Kudos
Message 7 of 13
(4,478 Views)

Thank you so much for your help. I have one thing remaining which is adding a time stamp for the counts and binning the data. Would you be able to help me with this? 

0 Kudos
Message 8 of 13
(4,467 Views)

I'm not clear on the requirement. You want to record a timestamp for every event? Isn't that potentially a huge amount of data?

0 Kudos
Message 9 of 13
(4,462 Views)

Yes, that will be a huge amount of data, but our scans are very short (micro seconds). 

0 Kudos
Message 10 of 13
(4,447 Views)