From Friday, April 19th (11:00 PM CDT) through Saturday, April 20th (2:00 PM CDT), 2024, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

RF Measurement Devices

cancel
Showing results for 
Search instead for 
Did you mean: 

5665 MIMO wideband phase coherency

Hello,

 

I have implemented 2 channel RF acquisition system with 2x5665  (1x5653, 2x 5603 and 2x 5622)

I am running the example code in the community page.

https://decibel.ni.com/content/docs/DOC-19433

 

I dont use any trigger(Trigger type is set to none).

I set the receiver center frequency to 1 GHz.

Then I started to generate single tone at 1GHz via 5673e and connected the signal to the receiver channels via a 2-way splitter.

I set the phase delta of the receivers to zero by adjusting the phase offset of the second channel.

After that I set the generation frequency to 996MHz and increase it with 1MHz steps while monitoring the phase delta. at 996MHz the phase delta between channels is -3 degree and at 1.004 GHz it is 3,25 degree approximately. 

That means there is 6 degree phase variation in 8MHz band.

 

I expect some phase shift according to frequency, but not this much.

 

Is this behavior normal. If it is normal how do you explain such a phase shift bewteen channels? 

 

Thanks,

 

Ozan

0 Kudos
Message 1 of 3
(4,287 Views)

Hi Ozan,

 

Thanks for your post. I have a couple of questions for you.

 

1) Are you using the same LO, reference clock, and trigger?

2) Is the path length exactly the same?

3) Are you using an external LO?

 

It is entirely possible that this is expected behavior. One way that would help verify this would be to run a frequency sweep over a larger scale, say 100MHz. Do you see a linear increase in the phase delay (this would point to a path length mismatch)? Or do you see a random phase difference (this would point to a case where the LO resets)?

Kevin S
Applications Engineer
National Instruments
0 Kudos
Message 2 of 3
(4,264 Views)

Hi Kevin,

 

Below are my answers.

 

1) Are you using the same LO, reference clock, and trigger?

I am using the same LO with daisy chain connection. You can see the photo of the connections attached. Yes the reference clock is the same but I dont use triggerring since all the system is in a single chassis and I think NI-tclk architecture can provide synchronization without triggering.

2) Is the path length exactly the same?

Yes the path length is exactly the same. 

3) Are you using an external LO?

No.

 

And the phase mismatch is linear. I guess this is the result of LO path. I will try to find splitters to share the Lo and inform you about the result.

 

Thanks,

Ozan

0 Kudos
Message 3 of 3
(4,247 Views)