From Friday, April 19th (11:00 PM CDT) through Saturday, April 20th (2:00 PM CDT), 2024, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

NI LabVIEW,CVI,数据采集等产品讨论区

取消
显示结果 
搜索替代 
您的意思是: 

导入相互依赖的.vhd文件(CLIP)到Labview FPGA

大家好,我想导入一个CLIP进Labview FPGA的项目中。CLIP由一组.vhd文件(VHDL代码)组成,其中一些文件相互依赖关联。如果直接导入一个文件,Labview会报错说另一个相关的文件还没有编译进库里。但是即使我先导入报错提到的那个文件,还是解决不了问题。 请问大家有导入这种相互依赖的.vhd文件的经验吗?谢谢各位大大

如果问题中有遗漏或没描述清楚的地方,欢迎询问。

 

下图为Labview中导入CLIP时选择.vhd文件的界面:

Capture.PNG

 

0 项奖励
1 条消息(共 3 条)
1,968 次查看

您好,

请问报错码是什么?可以截图吗?

0 项奖励
2 条消息(共 3 条)
1,944 次查看

你好,这是报错的截图: 其中adcframe_lib, common为文件夹名, 文件已经上传. 我要编译的文件就在文件夹adcframe_lib/Vhdl里.error.PNG

 

0 项奖励
3 条消息(共 3 条)
1,938 次查看