NI製品ディスカッション

キャンセル
次の結果を表示 
次の代わりに検索 
もしかして: 

ホワイトノイズを作成し,サウンド出力

解決済み
解決策を見る

labviewを使用してホワイトノイズを作成し,オーディオ出力するにはどのようにすればよろしでしょうか.

 

以上,よろしくお願いします.

0 件の賞賛
メッセージ1/3
2,504件の閲覧回数
解決策
トピック作成者aero0730が受理

関数パレットのExpress>入力に信号シミュレーションというExpress VIがあります。

ブロックダイアグラムに配置すると、ポップアップが立ち上がるので、

一様ホワイトノイズというチェックボックスを押すとホワイトノイズを生成するモードになります。

OKを押してExpress VIの構成を完了し、関数パレットのグラフィック&サウンド>サウンド>出力にある

波形を再生Express VIを配置します。

信号シミュレーションと波形を再生の間をワイヤでつなげれば完成です。

0 件の賞賛
メッセージ2/3
2,470件の閲覧回数

ちなみにホワイトノイズ的ランダム波形をフル振幅(16bitサウンドなら -32768~+32768)でオーディオ出力再生してしまうとスピーカなどを壊す可能性もありますので(イヤホン等で突然出力したりすると相当ビックリするレベル)、かなり小さな振幅スケールからお試しください。

メッセージ3/3
2,456件の閲覧回数