Multisim and Ultiboard

cancel
Showing results for 
Search instead for 
Did you mean: 

Multisim Export to PLD

I have a CAD lab that uses Multisim 12.0.1.  When the students try to “Export to PLD” onto their little PLD device that is plugged into the machine via USB, the program crashes.  The App Crash report is a generic one which tells me nothing.  Executing the same task with the local admin account and the teacher’s account works with no crash.  I gave “everyone” full rights to the National Instruments folder on the C drive where the app runs; however the app still crashes. 

0 Kudos
Message 1 of 11
(6,728 Views)

Hi TekGurl22,

 

Could you give us some more infromation about what lead up to the crash? What version of Xilinx ISE software was being used to program the board? At what step in the process did the crash occur, was it after clicking Finish on the PLD Export dialog? 

 

Any details you can provide to help us narrow things down will help us solve the problem. 

 

Thanks,

Jeff

National Instruments

0 Kudos
Message 2 of 11
(6,703 Views)

Sorry for the delay in response!

We are running Xilinx 14.4

 

This is the first screen cap when choosing "export to PLD board"

Step 1

 

Then I click Next:

I'm brought to step two where I choose the Xilinx tool, programming file and Advanced settings.  Then I click Finish.

2.JPG

 

Then it crashes:

 

3-crash.JPG

 

Here is the actual crash report:

 

Crash Report:
Problem signature:
Problem Event Name: BEX
Application Name: multisim.exe
Application Version: 12.0.923.0
Application Timestamp: 5036936f
Fault Module Name: MSVCR100.dll
Fault Module Version: 10.0.40219.325
Fault Module Timestamp: 4df2be1e
Exception Offset: 0008af3e
Exception Code: c0000417
Exception Data: 00000000
OS Version: 6.1.7601.2.1.0.256.4
Locale ID: 1033
Additional Information 1: 1b18
Additional Information 2: 1b181751d00659ed52f4690bbff314d1
Additional Information 3: 7fee
Additional Information 4: 7feebcc4c241f253f90a72bfdf852322

Read our privacy statement online:
http://go.microsoft.com/fwlink/?linkid=104288&clcid=0x0409

If the online privacy statement is not available, please read our privacy statement offline:
C:\Windows\system32\en-US\erofflps.txt

 

 

0 Kudos
Message 3 of 11
(6,570 Views)

Here is some additional information.

 

When I log into the computer as the local administrator, the export works perfectly.  I noticed in the log file tht Multisim writes to a temporary folder during the first step (which is the step that bombs out when a student tries to export).  See below log file of a successful export to PLD board when signed into the computer as the local administrator:

4.JPG

 

I have confirmed that the students have rights to write to the AppData folder under their local user profile in Windows.  In addition, I have given full read/write access to the program folders that Multisim uses:

C:NIFPGA

C:Program Files (x86)\National Instruments

 

Any other suggestions or troubleshooting steps would greatly be appreciated!

0 Kudos
Message 4 of 11
(6,568 Views)

Hello TekGurl22

 

I am wondering about the Operating system that you are using. Is it Win7?

Just to double check, do you have the same scenario that your teacher has (same OS, Multisim Version?)

 

Regards

0 Kudos
Message 5 of 11
(6,544 Views)

A few more questions:

 

Is your screenshot using Multisim 12.0.1? It seems from the screenshot you are trying to program the Digilent C-Mod S6. Multisim 12.0.1 only included PLD configuration files for the NI Digital Electronics FPGA Board, are you using a custom PLD config file? If so could you attach the files you are using, this may help us investigate. 

 

If you could, attach both the PLD config file (*.mspc) and the user constraint file (*.ucf).

 

Thanks,

Jeff

 

 

0 Kudos
Message 6 of 11
(6,539 Views)

Windows 7 Enterprise x64. The teacher station has the same computer image and has no problem exporting to PLD board.  When a teacher logs into a student computer and tries to export to PLD board, it works fine too.

0 Kudos
Message 7 of 11
(6,520 Views)

We are using Multisim 12.0.1.  Yes we are trying to program the Digilent C-Mod S6.  Attached is the custom file.

0 Kudos
Message 8 of 11
(6,518 Views)

Was anyone able to replicate this error or find a solution to it?

0 Kudos
Message 9 of 11
(6,436 Views)

Sorry for the slow response. I have tested this in a few different scenarios and am unable to reproduce the crash. 

 

I noticed in your screenshot you are saving the programming file to D:\Multisim\TestCircuit.bit... do the student accounts have rights to that path?

 

Also, is there anything that gets saved and left behind after the crash in the C:\Users\<student account>\AppData\Local\Temp\cdsise1 folder? If so could you attach it as a zip?

 

Lastly, could you attach the .UCF file you are using? In your screenshot this is the file listed in the advanced settings section, D:\Multisim\Digilent C-Mod S6 PLTW DE.ucf 

 

One more thing - do you have access to later or current versions of Multisim through SSP or by any other means? I'm wondering if this is still an issue in later versions.

 

Thanks,

Jeff

0 Kudos
Message 10 of 11
(6,432 Views)