LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

fpga VHDL import in Labview

Solved!
Go to solution

Hello,

 

I have to import VHDL code in Labview. I would like to know what is the best solution betveen CLIP, IP Node integration or HDL node of the previous version of Labview if it is possible to use it.

 

Thank you

0 Kudos
Message 1 of 3
(2,590 Views)
Solution
Accepted by topic author elie.deygas

Hello,

 

I think those documentations will help you to choose, depending on your requirements:

Difference Between CLIP Node and HDL Node

CLIP and IP Integration Node Differences

 

Regards,

Mathieu P. | Certified LabVIEW Associate Developer
National Instruments France


Journées techniques : des fondamentaux aux dernières technologies pour la mesure et le contrôle/comm...

0 Kudos
Message 2 of 3
(2,549 Views)

Hello

 

Regards,

 

Elie D.

0 Kudos
Message 3 of 3
(2,523 Views)