LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

TTL signal to analog waveform

I want to read the signal from a photomultiplier (TTL signal 3V, 9ns
wide pulses) and convert the reading into an analog waveform
proportional to the intensity of the light incident on the
photomultiplier.
The number of pulses given by the photomultiplier unit is directly
proportional to the intensity of the incident light (which varies more
or less sinusoidal with a frequency of about 50kHz).
I have a DAQ PCI-MIO-16E-1, and LabView 5.1.
I assume I have to use a counter input, to count the number of pulses,
but I don't know how to convert the output of the counter.vi into an
analog waveform.

Anybody has a clue?

Than you in advance.
Mircea


Sent via Deja.com http://www.deja.com/
Before you buy.
0 Kudos
Message 1 of 2
(2,427 Views)
Mircea,

You are correct in using a counter. However, there is a way to do what
you want--buffer the counter. The counter uses a 20 MHz clock for its
timing. What you can program the counter to do is every time it
receives a rising edge from the photomultiplier, it will put
the "count" of 20 MHz pulses in a buffer. Therefore, knowing that the
buffer counts are counts of a 20 MHz pulse, you can reconstruct when a
pulse occurred and the amount of time between pulses. From this, you
can generate the data you need.

Mark

In article <8il7cu$h1c$1@nnrp1.deja.com>,
mircea@creol.ucf.edu wrote:
> I want to read the signal from a photomultiplier (TTL signal 3V, 9ns
> wide pulses) and convert the reading into an analog waveform
> proportional to the intensity
of the light incident on the
> photomultiplier.
> The number of pulses given by the photomultiplier unit is directly
> proportional to the intensity of the incident light (which varies more
> or less sinusoidal with a frequency of about 50kHz).
> I have a DAQ PCI-MIO-16E-1, and LabView 5.1.
> I assume I have to use a counter input, to count the number of pulses,
> but I don't know how to convert the output of the counter.vi into an
> analog waveform.
>
> Anybody has a clue?
>
> Than you in advance.
> Mircea
>
> Sent via Deja.com http://www.deja.com/
> Before you buy.
>


Sent via Deja.com http://www.deja.com/
Before you buy.
0 Kudos
Message 2 of 2
(2,427 Views)