LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

PWM signal @ 3.3V Onboard DI/O @ sbRIO9642

Solved!
Go to solution

Hi,

I am working on my final Bachelor Project and having a bit trouble generating a PWM signal to a 1A 24VDC geared motor. I am using a sbRIO-9642 and a LMD18200 H-Bridge to power the DC motor.

This H-bridge does not allow currents above 12V @ the PWM IN signal. Therefore I have been trying to use one of the Onboard I/O channels at 3.3V as an output to the H-bridge. 

 

The frequency of the motor is not critical as long as I can accomplish a smooth start/stop without any abrupt movements; although a frequency above what the human ear could hear would have been appreciated.

 

With my SW based programming I can reach a stable 10Hz frequency on the motor, but that’s to slow to make it run quiet and smooth.

Since the sbRIO-9642 does not support Scan Mode I read/write values in a simple FPGA file. My SW PWM Generation is been done on the RT host. 

 

Does anyone have a solution to how I can get my motor running as described with the hardware I already got?

 

 

Regards Andreas Carlstedt

Message Edited by desses on 04-23-2009 11:48 AM
0 Kudos
Message 1 of 4
(2,962 Views)

Why don't you generate your PWM in the FPGA?

You will find examples with the Example Finder or under ni.com/ipnet

 

Christian

0 Kudos
Message 2 of 4
(2,940 Views)

I have tried to generate the PWM signal in the FPGA, but I still can’t get the frequency above 10Hz.

I’m starting to believe that there is something wrong with the H-bridge. I'll take a closer look at the electronic components tomorrow morning.

Thanks.

 

Message Edited by desses on 04-26-2009 04:48 PM
0 Kudos
Message 3 of 4
(2,913 Views)
Solution
Accepted by topic author desses
Case Solved. Electronic component failure. Center aligned PWM is now fully functional.
0 Kudos
Message 4 of 4
(2,792 Views)