LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

Import related .vhd files(VHDL code) to Labview FPGA

Hello,

I'm trying to import CLIP to Labview FPGA. The CLIP consists of a set of .vhd files that some are related. If I import one of the related .vhd file, labview will report the error that some related files are not compiled in library. I'v tried to first import these files reported in error, but it doesn't help. How can I solve this problem?

 

Capture.PNG

 

0 Kudos
Message 1 of 1
(1,838 Views)