LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

Edge detection in HDL Node

Solved!
Go to solution

Hello,

 

You said that you just want any VHDL code inside the HDL node to accept signals coming from a push button. The code on my previous post does exactly that, just put the node in a loop. What else do you need help with? Specifying a clock signal on your VHDL code? If you want to make the code sequential (work with a clock), I don't think we can be of great help with that, as our VHDL knowledge is limited, and we don't officially support it.

Regards,
Daniel REDS
RF Systems Engineer

Help us grow.
If a post solves your question, mark it as The Solution.
If a post helps, give Kudos to it.
0 Kudos
Message 11 of 13
(550 Views)

I know this fact. I too have very limited knowledge of VHDL. Anyways can you just post me the code plus VI?. And thank you for your cooperation, really appreciate it 🙂


0 Kudos
Message 12 of 13
(545 Views)
Solution
Accepted by topic author NapDynamite

You're welcome, but the VHDL code I used is back in Post #9, and my VI is not going to do you any good, as the IP Integration Node didn't exist back in LabVIEW 8.6 - just the HDL Integration node did. But here it goes anyway. I also attached the simulation files.

 

push_button.png

 

Remember to mark the question as solved and give the appropriate kudos 😉

Regards,
Daniel REDS
RF Systems Engineer

Help us grow.
If a post solves your question, mark it as The Solution.
If a post helps, give Kudos to it.
Download All
0 Kudos
Message 13 of 13
(532 Views)