LabVIEW Communications System Design Suite

cancel
Showing results for 
Search instead for 
Did you mean: 

Wifi Signal Decoding Using labview WLAN toolkit 15 and NI PXIe-5644

Hello

To whom it may concern...

 

I am researching on a project which is about devices using WiFi Protocol (IEEE 802.11) standard and it is funded and supported by my university research institute. Fortunately I am able to use NI WLAN toolkit and a PXIe-5644R vector signal transceiver in order to acquire signal and Analyze the standard. 

In this project it is requested to fetch MAC address of devices which  send/receive data on an authorized university network. So in the programming block diagram it is tried to decode WiFi signals by "niWLANA get current iteration decoded bits trace" VI and fetch the MAC address. As far as I understand regardless of PHY layer's Preamble and header bits, MAC addresses of Transmitter and receiver device is inserted in packets of transmitted data by the transmitter but I Could not distinguish which parts of decoded bits are filled with MAC address. 

First of all I am asking for some help to let me know the content of the aforementioned VI, which part of the standard data packet is decoded and extracted by the VI? And then, does this VI decode entire parts of standard data packet including header, preamble and payload?

 

Any help is appreciated...

 

Best regards.

 

    

0 Kudos
Message 1 of 1
(2,203 Views)