From 04:00 PM CDT – 08:00 PM CDT (09:00 PM UTC – 01:00 AM UTC) Tuesday, April 16, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

Digital I/O

cancel
Showing results for 
Search instead for 
Did you mean: 

DDC CLK OUT of PXIe-6556

Is it possible that clock on DDC CLK OUT of PXIe-6556 can not be continious? For example, if necessary generate only N-periods of clock.

0 Kudos
Message 1 of 6
(3,176 Views)

Hi Valentin87,

 

What is output on DDC CLK OUT is dependent on what signal you tell to be exported to this output pin based on the niHSDIO Export Signal VI. I have included the help page here below. The signal input is what you will be exporting to DDC CLK OUT, and you can see the list of available signals at the bottom of the help page. Can you explain a little more about your application, or what you are exactly trying to do?

 

niHSDIO Export Signal VI: http://zone.ni.com/reference/en-XX/help/370520K-01/hsdioviref/hsdio_export/

 

Thanks!

will.i.am10

0 Kudos
Message 2 of 6
(3,135 Views)


will.i.am10 wrote:

 

Can you explain a little more about your application, or what you are exactly trying to do?

I must generate continious clock or N-cycles of the clock at same frequency at same pin.

0 Kudos
Message 3 of 6
(3,132 Views)

Yes, using the niHSDIO Export Signal VI will allow you to export a clock to this pin. The sample clock will only export while you are actually sampling, so if you do a finite task you will be able to export for N-cycles. Have you been able to test this to see if this does what you want?

 

-will.i.am10

0 Kudos
Message 4 of 6
(3,124 Views)



@will.i.am10 wrote:

Yes, using the niHSDIO Export Signal VI will allow you to export a clock to this pin. The sample clock will only export while you are actually sampling, so if you do a finite task you will be able to export for N-cycles. Have you been able to test this to see if this does what you want?

 

-will.i.am10


As I can understand from datasheet, on ddc clk out is only continious. So if generate in finite mode, the max frequency on dio can be 100 MHz. How can I reach 200 MHz?

 

0 Kudos
Message 5 of 6
(3,118 Views)

Hi,

 

Have you tried to export a signal onto the DDC CLK OUT pin? As mentioned earlier, you should be able to export the signal you are after onto the pin using the process linked above. What issues have you run into while exporting a signal onto the DDC CLK OUT pin?

 

Regards,

 

Finch Train

 

0 Kudos
Message 6 of 6
(3,106 Views)