From Friday, April 19th (11:00 PM CDT) through Saturday, April 20th (2:00 PM CDT), 2024, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

Counter/Timer

cancel
Showing results for 
Search instead for 
Did you mean: 

using counter on NI 9401

Solved!
Go to solution

Hi,

 

we ordered the cRIO 9035 system with several Modules.

One of them is the NI9401.

I want to use this module to count the pulses of a flow-meter.

Infront, I'm a beginner and just startet to watch lots of tutorials and created basic VI's

 

  1. Does this Module have counters on board, or is it only possible to use the "hardware"-counters in the Chassis via this Module?

    I'm asking this, because I can set the Module via "Speciality Digital Configuration" as counter.
    If I do so, would it be possible to simply create a while loop, place the channel inside and link it to a numeric element?
    Or is the only way to count pulses (rising edge) to use VI's like the "Edge Counter VI" from the example VI's?

  2. My flowmeter uses a Hallsensor and produces rectangular pulses at max 40 Hz.
    Is it absolutely required to use FPGA or can I use a VI on the Host-Computer?

 

We use 4 of these flowmeters (and many more sensors like Termocouples (NI 9211), magnetic valves (NI 9482) or an AKD-Servomotor (NI 9472).

All the measured Data has to be written in a File (e.g. exel sheet).

 

The magnetic valves have to be activated if a certian number of pulses is counted.

Again, is it absolutely required to use FPGA or can I use a VI on the Host-Computer?

 

I know there is a lot to do and I have to learn much more, but I'm on it (its for my Masterthesis).

For the moment, answers to the questions about the existing or not existing on-board counter would be great.

 

Thanks for your support.

 

Greetings from Germany,

 

Lukas

0 Kudos
Message 1 of 2
(4,659 Views)
Solution
Accepted by luke2dah

Hi Lukus,

 

greetings from Munich (since it is a public forum I am required to answer in English anyway).

 

1) when using a cRIO you dont have a strict limitation for counters (especially if you program the FPGA) for your digital inputs. Perhaps you read something about that and that only applies to cDAQs (because there (most) counters come from the cDAQ chassis so they are more limited)

 

"If I do so, would it be possible to simply create a while loop, place the channel inside and link it to a numeric element?"

CORRECT

"Or is the only way to count pulses (rising edge) to use VI's like the "Edge Counter VI" from the example VI's?"

I am not sure to which exact VI you are referring to, but on the FPGA you could implement a custom counter. That would work too.

 

2) you can it do both ways (Scan Engine Mode and FPGA Mode)

 

 

If you are writing a Master Thesis you could be eligible for a greatly discounted course. The Embedded Control and Monitoring course (http://www.ni.com/training/embedded/) would be very helpful to you:

 

Förderprogramme für Forschung, Ausbildung und Lehre - National Instruments Germany GmbH
http://germany.ni.com/academic/training/programs/diplomand

 

Best regards,

Christoph

Staff Applications Engineer
National Instruments
Certified LabVIEW Developer (CLD), Certified LabVIEW Embedded Systems Developer (CLED)


Don't forget Kudos for Good Answers, and Mark a solution if your problem is solved
0 Kudos
Message 2 of 2
(4,625 Views)