From Friday, April 19th (11:00 PM CDT) through Saturday, April 20th (2:00 PM CDT), 2024, ni.com will undergo system upgrades that may result in temporary service interruption.

We appreciate your patience as we improve our online experience.

Power Electronics Development Center

cancel
Showing results for 
Search instead for 
Did you mean: 

Multiple issues with PWM modulation

Solved!
Go to solution

Hi to everyone:

I am new working in the cRIO9074 and I used LabVIEW2016 and I tried to programing in the LabVIEW-FPGA. I use the module NI 9401 for the DIO.

 

I used examples files and I generate two loops, one for the Triangle waveform and other one for the Sinusoidal waveform (3 sines waveforms with a phase shifter of 120 degrees). I sending these signals to an osciloscope and I can see the correct waveforms, but here starts my problems:

 

1. How I can define the frequency of the triangle waveform and the sinusoidal? the example appears the Carrier frequency depends of the Ticks, so if I understood well, if I need a carrier frequency equal to 1KHz, I have to put 40000 ticks (40e6/N of ticks = carrier frequency)... that is correct?

 

2. The same problem for obtain sinusoidal waveforms with 50Hz.

 

3. I compare the triangle waveform and the sinusoidal waveform and send to the NI 9401, but I tryiend to measure by an osciloscope the DIO in the module but I don't obtain any signal... is a problem of the program?

 

4. I will need to create the phase shifter in the modulation signals, I used the example send by BMac (Many thanks!):

 

http://forums.ni.com/t5/Power-Electronics-Development/Phase-Shifted-Carrier-Signals-For-FCM-applicat...

 

but the sistem send me a error and was imposible to compile... there are other option?

 

I attached my program files... many thanks in advance
Ricardo

0 Kudos
Message 1 of 2
(4,200 Views)
Solution
Accepted by topic author RicardoLizana

Hi Ricardo,

probably there are many solution to your questions.

With respect to the triangle generator, I used on the FPGA an UP/DOWN counter (if a simmetrical triangle is needed). It must be executed with a SCTL working at high frequency.

You can download an example of ready to run code from

http://www.ped-board.com/projects/

Everything is explained in the project. It is used as time-base for synch the FPGA control loops.

 

To obtain a sinwave, you can use a lookup table of after generating a triangle waveform (right aligned) that represents the angle theta, apply the sin function on the FPGA.

I would suggest to download the project concerning the Repetitive Control and Electrical Drive where you will find all you need. The resolver part in the Electrical Drive project evaluates the sin/cos function after receiving the angle.

The Repetitive Control generates 3 sinewaves using lookup tables.

 

Cheers,

AL3

0 Kudos
Message 2 of 2
(4,161 Views)