LabVIEW

cancel
Showing results for 
Search instead for 
Did you mean: 

help in IEEE Floating Point Number Conversion

Is this what you're looking for?

I think this might be a way to do what you want.  You need to bear in mind that a SGL is only good to maximum 6 decimal places, so simply taking the mod1 times 1,000,000 should give you an integer representing this part.  The possible trailing digits are "noise" due to floating point inaccuracies and are purely incidental.

On another note, I really don't see the point of this exercise, but I'm assuming you know what you're doing.

Shane.

PS the example is in LV 6.1.
Using LV 6.1 and 8.2.1 on W2k (SP4) and WXP (SP2)
0 Kudos
Message 11 of 12
(450 Views)

Thanks altenbach for this tricks to make ieee754..actuallly  i did manually code to build the fraction to ieee754 single prcision..i didnt thinkso..like this idea...this snippet really help to me,because its minimize the execution time..due to like for loop and all..thanks...

0 Kudos
Message 12 of 12
(229 Views)